数字集成电路设计合集12篇

时间:2022-04-29 16:28:02

数字集成电路设计

数字集成电路设计篇1

中图分类号:TN79 文献标识码:A 文章编号:1671-7597(2013)15-0037-01

在电子信息领域,信号频率的测量非常广泛,并且与许多电参量的测量有密切的关系。在仪器仪表、资源勘探等相关应用中,频率计是工程人员必不可少的测量工具。频率测量的方法有许多,其中数字计数器测量频率具有测量快、精度高、成本低等优点,是频率测量的重要应用之一。本文便通过对时基单元电路、分频单元电路、整形单元电路、延时清零单元电路等的整合运用,设计制作一款简单实用的数字频率计,并对具体单元电路进行分析。

1 频率计的工作原理

被测信号经输入端输入,经过放大整形后,通过主控电路,时基信号的下降沿到来时,控制电路主控门打开,Tx2周期内允许待测信号通过主控电路到达脉冲计数电路进行计数,待测信号的频率就是单位时间(1 s)内的得到的脉冲数,表达式为f=N/Tx2,其中f为被测信号的频率,N为计数器读得的脉冲数,Tx2是时基信号的周期,Tx2可由分频电路的开关获得,在时基信号的下一个下降沿到来时,主控门关闭,主控电路发出锁存信号锁存当前读得脉冲值,显示电路随即显示读得脉冲值,即测得信号频率。延时一段时间后,清零电路清零输出脉冲,将各计数器、触发器复位,准备下次测量。频率计的工作原理如图1所示。

2 系统硬件设计

2.1 放大整形电路的设计

放大整形电路单元主要由集成电路CC4011和运算放大器OP07构成。OP07构成的负反馈放大电路将微小的待测信号放大到能被数字集成电路有效识别的水平,再通过由与非门4011构成的斯密特触发器,整形得到方波脉冲。

2.2 时基电路的设计

时基电路单元主要由集成电路5G5544、32768Hz的晶体振荡器构成和微调电容等元件构成,自激工作,经调整后,可获得周期为1 s的精确方波信号。

2.3 分频电路的设计

分频电路单元主要由2片集成电路CC4518组成。4518是二-十进制同步计数器,计数器级联,上级计数器计满溢出后,通过下级计数器对时基信号进行10分频计数,4个计数器即可对时基信号进行1、10、100、1000分频,以确定测量周期Tx2,即切换频率计的量程。

2.4 主控电路的设计

主控电路单元由双D触发器CC4013和与非门CC4011构成。4013控制闸门选通信号,以控制主控门的开启/关闭。时基信号下降沿到达控制门1后,控制门1输出上升沿到4013(1)的CP端,使得4013(1)的Q1=1,主控门2开启,被测信号在主控门开启的时间内,可以通过主控门2送至计数器CLK端进行计数,一个测量周期Tx2后,时基信号再次到来的下降沿使主控门关闭,计数器停止计数,同时1使4013(2)的2=0,关闭控制门1,封锁时基信号,完成一次测量过程的控制。主控电路的原理图如图2所示。

2.5 延时清零电路的设计

延时清零电路单元由D触发器CC4013、非门CC4069、积分电路、单稳态电路等构成。CP在上升沿的作用下,4013翻转, =0,电路清零时,又将Q置0,=1,二极管迅速将电容充电,使电容一端达到高电平,而此时=0,电容通过电位器缓慢放电,一段时间后,电容一端电压降到4069的阈值电平,4069输出端产生上升沿,触发下级单稳态电路,单稳态电路随即产生正脉冲送到由4001构成的自动清零电路,将各计数器、触发器复位,等待下次测量。选择合适大小的R、C,使清零脉冲在锁存脉冲后沿产生,且宽度充分窄,在不影响测量精度的前提下又可以触发清零电路。

2.6 脉冲计数电路的设计

脉冲计数电路单元由6片74LS160级联构成。74LS160是同步十进制计数器,通过主控电路的脉冲信号输入到74LS160的脉冲计数端,在74LS160给定的计数周期内,对计得的脉冲个数进行量程转换后,即可得到待测信号的频率。

2.7 显示电路的设计

显示电路单元由6片CC4511和6只共阴极数码管构成。4511是一种BCD码译码器,可直接驱动6位共阴极数码管,6位分别表示个位、十位、百位、千位、万位、十万位,可测量1 Hz~1 MHz的频率。

3 结论

数字频率计是一种测量方波信号、正弦信号以及其他各种信号的频率仪器,在电路设计中广泛被应用。本文介绍的一种基于数字集成电路的频率计的设计方法,电路设计具有功能齐全、结构简单、成本较低、使用方便等优点。该频率计通过仿真、制作、误差分析等验证,可在多种测试场所广泛应用。

参考文献

[1]王伟明.数字频率计电路设计与分析[J].电子世界,2013.

[2]刘夫江.基于单片机和CPLD的等精度数字频率计设计[J].山东大学,2007.

[3]董玉冰.基于Multisim9.0简易数字频率计的设计与仿真[J].长春大学学报,2009.

[4]朱东南,等.基于CD4541的便携式数字频率计的设计[J].兰州工业学院学报,2013.

[5]刘文浩,等.CMOS数字频率计的设计与制作[J].莱阳农学院学报,1989.

数字集成电路设计篇2

本文主要介绍的设计思路,是以运用TDA7481为主进行设计的思路。使用这种芯片为核心,可以在多种模式下做到对电路的自由切换,大大提升了整机的实用性。而且,这套设计采用的是数字轻触式的按键控制系统,可以更加轻松地实现对音量的控制,这种设计相比于传统的按键设计而言,不仅可以方便操作,而且能够大大增加机器的使用寿命。另外,这种设计比传统的设计输出功率更高,传出的声音也不容易失真,成为很多音响制造企业应用的首选。正是因为如此,本文才会选用这样的设计进行介绍。

1.音频功率放大器的发展历程以及研究的目的与意义

音频功率放大器是一个技术已经相当成熟的领域,最近的几十年以来,随着无数科学工作者的不懈努力,功率放大器无论是线路技术还是元器件,甚至于人们的思想认识都有了长足的进步。回顾一下功率放大器的发展历程,我们可以很清楚地发现,音频功率放大器的发展与电子技术的发展是紧密联系的。正如电子计算机经历了电子管、晶体管、集成电路的发展历程一样,音频功率放大器也经历了类似的发展过程。从最初的电子管放大器,到现在的数字集成放大器,音频功率放大器正在一步步走向成熟。在现今,数字集成功率播放器已经成为了一种越来越主流的发展趋势,这种功率放大器以其较高的输出效率、较好的声音保真效果,正在受到更多人的青睐与肯定。长期以来,高品质的音频放大器的按照工作类别进行划分,只有A类和AB类两种。造成这种现象的主要原因就是,一直以来,功率放大器的元器件都是以电子管为主,在这种情况下,单纯的B类功率放大器的播放效果会严重失真,难以被人们所接受。而只有A类功率放大器能有效保障声音的真实性。现在,随着科技的发展,以数字集成方式进行工作的功率放大器开始出现,这种新型的功率放大器以输出的功率大、效率高、生意失真小等优势一跃成为音响制造企业的新宠儿。然而对于我国的企业来说,这项新技术我们还知之甚少,相关的研究工作困难重重。虽然一些科研团队已经取得了不错的成绩,但是这还远远不够。只有真正了解了数值集成功率放大器的整体电路设计,才能在之后的设计工作中事半功倍,真正生产出属于我们自己的数字集成功率放大器。

2.数字集成功率放大器整体电路的设计理念

本文所要介绍的数字集成功率放大器采用以TDA7481芯片为核心的设计理念,主要由数字音量控制器、音频选择集合而成的D类功率放大器。具体的设计可以分为三个部分进行介绍,即输入切换部分、音量调整部分以及功率放大器部分。采用这样的设计,功率放大器的输出效率可以达到80%以上,真正实现对音频的高清播放。

3.输入切换部分的设计

3.1设计原理

在该设计中,输入切换部分采用的是TC9052P的电路。在电路中设置了五个连接端口,并分别用五个数字轻触式按键进行控制。届时通过操作这五个按键就可以对即将输入的五组音频信号进行控制与调整,使音频播放更加真实与优美。而每个按键上方都会有一个LED指示灯,可以时刻提醒操作者那个端口已经输入了信号,那个端口没有输入信号。这里需要注意的是,当操作者同时输入多组信号的时候,该系统只能选择支持一种信号。这样就可以避免因为操作者的疏忽造成混乱。

3.2相关电路的使用说明

TC9152P的立体声线路开关具有五个功能,对于单、双电源都具有良好的适应性。一般情况下,功放器的运行电压在7.5~30V之间,而本文所介绍的设计则主要使用于运行电压在12V左右的电路。在该设计中,两个主要引脚将分别接在+12V与-12V的端口,两个引脚分别连接左右声道的输出端。另外还有两组一共十个引脚分别对应五个按键的左右声道输入端。还要有五个引脚分别负责对五个按键的开关控制。而当任意按键的开关处于闭合状态时,另外四个按键的开关将会自动跳开。

4.数字音量控制部分的设计

4.1电路的使用说明

在这一部分的电路中,分别有两个引脚作为信号的输入端口,两个引脚作为控制之后的音量输出端口。还要有两个引脚分别接入正负直流电压,两个引脚为音量的控制端口,在预留几个引脚接地,这个电路就基本完成了。

4.2元件的参数与电路屏蔽

这一部分的各个元件的参数如下:输入电流1mA到3mA。输入电压9V,电容4.7u。一般情况下,电路的工作环境中很难真正做到排除电磁干扰,一旦这些干扰正常信号的电磁波进入电路,经过放大器地层层放大,就会形成一个非常大的干扰电压,具体表现在音频的输出中,就是一个突如其来的尖锐噪音,严重影响音频播放的质量。在这样的情况下,功率放大器中必须采用电路屏蔽措施以杜绝干扰。一般情况下经常采用的电路屏蔽措施主要有静电屏蔽与磁场屏蔽两种,屏蔽结构所用的材料多数采取比较导电的铜或者铝制作成的薄板,在实际的工作中,这样的薄板主要起到的是屏蔽罩的作用,从而隔绝干扰源,将其进行妥善的接地处理。

5.功率放大器部分的设计

对于本次设计来说,其真正的核心是功率放大器,其他的部分最终都是要为功率放大器来服务的。由于数字集成功率放大器具有无可比拟的优势,因此对于其设计指标必须严格要求。其综合的设计指标必须高出政绩效率的80%以上想要完成如此之高的指标,采用TDA7481作为功率放大的核心部件是一个不错的选择。然而由于TDA7481只是一款单声道的放大器,因此在整体电路的设计中必须同时用到两块同样的TDA7481,这样才能实现单双声道的自由切换,保障功率放大器与音响设备整体的质量。

6.总结

对于音响设备制造企业来说,开发出数字集成功率放大器对提高企业的竞争力,获得更大的收益是一个非常有效的途径。然而至今我国不少企业的研发团队依然不得其门而入,导致这一部分的设备长期以来进口。为改变这一状况,本文对数字集成功率放大器的整体设计思路进行了简单的介绍,希望能对相关企业有所帮助。

参考文献

数字集成电路设计篇3

传统的数字微流控系统包括计算机、驱动电路和数字微流控芯片3个部分。计算机用于输入数字微流控芯片每个电极的电压指令,该过程可通过计算机上搭建的软件窗口实现;驱动电路接收计算机发送的每路输出电压和频率指令,并依照该指令输出相应幅度和频率的方波;数字微流芯片上电极分别与驱动电路的输出相连接,由于不同电极上所加电压不同,进而实现对液滴的操控。在研究项目“高通量微液电处理及光检测共形生化检测”中,通过增加光源和检测器,实现对微液滴位置及化学成分的实时检测。

1.2数字微流控芯片

本项目中使用的生化检测芯片以传统数字微流控芯片为基础,在电极间增加特定的光波导结构,当微液滴停滞光波导表面时,微液滴的质量和化学成分会改变波导内光的传输模式,通过对波导内传输光检测,可以获得微液滴位置与化学成分等信息。

1.3驱动电路

采用介电润湿机理操控微液滴技术,系统的功耗极低,因此微液滴操控电路的设计对电流无要求;但考虑电流过大会导致介电层被击穿发生电解以及加剧微液滴的蒸发,所以要求控制电路输出电流应尽量小。由Young-Lippman方程可知,微液滴接触角的余弦值与外加电压的平方成正比,为了使接触角大范围内连续变化,要求电压幅值大范围可调;另外,微液滴输运与分离所需电压幅值相差很大,也要求电压幅值大范围可调。根据项目需求,使用的数字微流控芯片包含128个驱动电极,每个电极最高承受电压为200V。因此,设计的驱动电路需要满足以下指标:

1)电路由单一5V2A直流电源供电,输出有128路,每路可独立输出方波。

2)每路输出电压幅值为0~200V,频率为10~1000Hz,电压幅值和频率均可调,并且输出电压精度为±0.5V。

3)人机界面采用计算机控制,并与驱动电路使用USB2.0接口通信,计算机向驱动电路发送各路输出电压幅值和频率信息。

2设计方案

2.1总体方案

根据系统要求,所设计的驱动电路应具有将5V电压升至200V的能力,实践中常采用拓扑结构为DC-DC升压变换器的电路以实现升压,但对于复杂的数字微流控系统采用该方式会导致驱动电路的体积过于庞大。为缩小电路体积以节省实验空间,提出了使用集成芯片搭建的高度集成化驱动电路.计算机通过由软件LabVIEW搭建的窗口界面向驱动电路中的单片机发送128路方波输出的电压幅度和频率信息,单片机对计算机发送的指令进行解析,然后以特定时间间隔向32通道D/A芯片发送相应的方波电压信息,进而实现指定频率和幅度的方波输出。

2.2单片机

设计的电路中所使用的单片机为PIC24H,该系列单片机是美国微芯科技公司推出的十六位精简指令集微控制器,具有高速度、低工作电压、低功耗等特点,以及较大的输出驱动能力和较强的计算能力。PIC24H的主要任务为:接收由计算机输入的电压幅值与频率信息,根据频率计算出方波周期,然后每半个周期时间向D/A芯片分别发送输出方波最大和最小电压幅值指令,进而实现特定电压幅值和频率的方波输出。电路连接时,将USB芯片输出端口D0~D7,以及RD、WR、TXE和RXF分别与单片机任意I/O口相连接,实现从USB芯片并行I/O接口的数据读取;将D/A芯片输入端口SCLK、DIN、SYNC分别与单片机其他空余I/O口相连接,实现单片机对D/A芯片输出的控制.驱动电路使用USB接口芯片可实现完成USB串行总线和8位并行FIFO接口之间的相互协议转换。其优点在于,对于开发者只需熟悉单片机编程及简单的VC编程,而无需考虑固件设计以及驱动程序的编写,从而能大大缩短USB外设产品的开发周期。

2.3USB接口芯片的设计

驱动电路中的USB接口芯片选用FT245R,该芯片是由FTDI公司推出的第二代USB接口芯片,与其他芯片相比,应用FT245R芯片进行USB外设开发,只需熟悉单片机编程及简单的VC编程,而无需考虑固件设计以及驱动程序的编写,从而能大大缩短USB外设产品的开发周期。此外,FT245R支持USB2.0规范,满足项目需求。FT245R芯片可实现USB接口与并行I/O接口之间数据的传输。USB收发器从计算机接受USB串行数据后,由串行接口引擎将数据转换成并行数据,储存在FIFO接收缓冲区,当读取信号为低时,就将接收缓冲区的数据送到并行输出数据线上。考虑电磁兼容性设计,在USB接口的电源端连接一个磁珠,以减少设备的噪声和USB电缆辐射对芯片产生的电磁干扰。

2.4D/A的配置及电源设计

电路中使用的32通道D/A芯片最高输出电压为200V,精度为14bit,满足每路输出电压幅值和精度的要求。电路的128通道输出可由4片A/D芯片实现。A/D芯片的输出电压由单片机控制,由于单片机PIC24H与A/D芯片都支持SPI协议,因此本电路使用SPI接口传输完成单片机和A/D之间的通信。A/D芯片要实现0~200V范围内的电压输出,需要配置-5V、4.096V、5V和200V,而电路只有5V直流供电,因此需将5V转换为-5V、4.096V和200V。设计的电路中分别选用相应的升压芯片完成电压的转换。

3电路制作

根据上述设计方案,选取合适的芯片,制作完成该驱动电路。向该电路输入相应的输出电压指令,测得在0~180V的范围内,实际输出电压和期望输入电压之间的误差基本小于0.1V,满足设计要求。实验中的数字微流控芯片需要实现对液滴的基本操作,其方法为对液滴移动路线上的电极依次通电,所加电压为交流电压。交流电压可以通过在指定时刻对D/A芯片输入相关输出电压信息,从而获得所需交流电压输出。

数字集成电路设计篇4

如果您正在为就业发愁,正在苦苦寻找一份高薪工作在北京.上海这些大城市大展宏图;

如果您想从事IC设计行业却不知道从哪里入手;

如果您刚刚踏入IC设计行业,感觉技术和工作压力很大;

那本课程将会带你踏上这条充满前途的金光大道,您的职业人生将从此与众不同……

课程特色

教授IC前端设计全部流程

最实用、最常用的IC前端技术和方法

真实实践环境,先进设计平台,实际项目设计、亲自动手制作

课程大纲:

1. Unix/Linux操作系统使用

3. 数字电路技术基础

4. 半导体电路和工艺基础

5. 数字逻辑

6. 数字集成电路设计流程

7. 硬件描述语言和电路设计

8. 电路验证技术

9. 项目设计实践

10. 电路设计进阶

11. ASIC和SOC设计导论

12. FPGA设计和验证初步

13. 微处理器结构

14. 逻辑综合初步

15. 可测性设计技术

16. 项目设计实践

招生对象

电子、计算机、通信等相关专业大学应届本科毕业生和低年级研究生

参加工作不久,需要提升技术水平和熟悉设计流程的在职工程师

或其它理工科背景有志于IC设计工作的转行人员

开课时间 2006年8月 16日.

课时数共 110学时

上课时间

每周一、三、五晚18:30~21:30 ,每周日下午13:00~17:00

每周二、四、六自修及作业

上课地点 清华大学东主楼9区103

费用 报名费100元

学费4500元,包括听课、讲义、资料、辅导、上机软硬件费用、证书等,食宿自理。

优惠

2006年8月10日前报名,免收报名费,可享受优惠价4300元!

在校学生2006年8月10日前报名,免收报名费,可享受优惠价4000元!

5人以上团体报名可九折优惠!

联系方式

电话:010-58815958转601/602

邮件:.cn

网址:.cn

第二期数字集成电路前端设计提高班'

北京第五日IC设计培训中心独家推出数字集成电路前端设计就业班,在最短的时间里让学员学习数字IC设计流程,设计方法,常用EDA工具,更以实际专题项目带领学员完成一个从最初的设计规范到门级网表实现的整个前端设计流程,手把手带领学员完成实际项目作品,使学员在领会IC设计知识的同时具备IC设计经验,并学会IC设计公司的团队分工与合作。学成后可以胜任IC设计公司一般性设计工作,最终的专题设计和作品更可以做为求职和职位提升的有力证明。

本课程在"数字集成电路前端设计提高班第一期"成功举办的基础上,更近一步完善课程,更好的把握课程的进度,目标直指培养较高水平IC设计工程师,在保证学员获得IC前端设计全部技术要点的同时,重点锻炼学员的实际动手能力,跨度近两个月的时间内,学生将以一个简单标量流水线处理器的设计为核心,进行RTL设计、逻辑综合、时序分析、芯片测试、综合验证、以及高级技术和设计优化的技术学习和项目实践。学员可以选择参与处理器设计或系统芯片IP模块设计,要求至少参与完成此处理器芯片或独立完成一个系统芯片IP模块从设计规范到网表实现的整个前端设计过程,最终的设计是可以拿去layout和流片的。

如果你具有相关专业学历,但缺乏一定的项目实践机会;

如果你面对学习或工作挑战,感觉压力很大;

如果你对芯片设计充满兴趣,希望用最短的时间学到人家需要两三年才能跨越的技术;

那么本课程将会成为你提升技术水平、跻身IC设计高级人才的最佳选择!

课程特色

教授IC前端设计全部流程

最实用、最常用的IC前端技术和方法

真实实践环境,先进设计平台,实际项目设计、亲自动手制作

课程大纲:

1. 电路设计进阶

2. ASIC和SOC设计导论

3. FPGA设计和验证初步

4. 微处理器结构

5. 逻辑综合初步

6. 可测性设计技术

7. 项目设计实践

8. RTL设计和验证

9. SOC设计平台

10. 总线和IO IPs

11. 形式验证技术

12. 逻辑综合技术

13. 静态时序分析

14. 芯片规划和设计

15. 专题技术讨论

16. 项目设计实践

招生对象

电子、通信、计算机等相关专业本科毕业,一年以上工作经验的在职工程师;

电子、通信、计算机等相关专业较高年级在读研究生;

一般高校需要项目经验的任课教师

开课时间 2006年9月 10日

课时数共120学时

上课时间

每周日或周六全天上午9:00~12:00 下午13:00~17:30 周一到周五 自修及作业

上课地点 清华大学东主楼9区103

费用 报名费100元

学费5200元,包括听课、讲义、资料、辅导、上机软硬件费用、证书等,食宿自理。

优惠

2006年9月1日前报名,免收报名费,可享受优惠价5000元!

在校学生2006年9月1日前报名,免收报名费,可享受优惠价4680元!

5人以上团体报名可九折优惠!

联系方式

电话:010-58815958转601/602

邮件:.cn

网址:.cn

复芯微电子八月份

半导体技术精品课程

随着中国半导体产业已进入了一个高速发展的阶段,各个相关产业也在不断完善,同时,半导体专业知识的及时更新也越来越受到业界人士的重视。北京清华大学信息学院微电子学研究所与上海复芯微电子技术咨询公司联合举办针对微电子行业高层次人才的技术培训及研讨班。根据目前工艺的最新发展情况,我们邀请了业内知名专家龚正教授为大家介绍“65纳米CMOS工艺及其应用”;“高压器件设计与制程技术”,课程注重基础与实践能力的提高,相信会使参加者受益匪浅。

课程简介:

65纳米CMOS工艺及其应用

65纳米CMOS工艺经过多年研发已经逐渐进入量产阶段,本课程将逐一介绍实际可行的工艺。内容包括前端闸极设计、信道结构、源汲极浅结构,以及后端铜导线工艺整合等项目,并且以一些在特定电路应用内的范例为学员解惑。

高压器件设计与制程技术

功率集成电路及组件在相关电机电子产品领域中应用日渐增多,但功率组件的设计制造及工作条件则有别于一般的传统IC组件,故本课程的宗旨即在阐述功率组件的基本物理结构及相关的高压技术,涵盖的组件包含Power Rectifiers,Bipolar Transistors,Thyristors,Power MOSFET,以及 IGBT。

师资介绍:

龚正

教育背景: 美国佛罗里达大学电机系博士

工作经历:

中国台湾清华大学电机系/电子研究所教授

中国台湾实验室认证体系电性测试领域评鉴技术委员会委员

中国台湾实验室认证体系校正领域评鉴技术委员会委员兼副召集人

中国台湾实验室认证委员会委员

中国电机工程学会电机名词审议委员会委员

中国电机工程学会大学院校电机与信息相关系所学门评鉴委员

中国原子能委员会核能研究所科技顾问

中国台湾工业技术研究院电子工业研究所顾问

中国台湾工业技术研究院能源与资源研究所顾问

中山科学研究院顾问

联华电子股份有限公司顾问

复芯微电子专聘讲师

专精:

半导体组件物理; 半导体组件特性量测; 半导体组件电子杂讯分析; 功率半导体组件

曾经培训企业:

应用材料(中国)有限公司

英特尔产品(上海)有限公司

中芯国际集成电路制造有限公司

上海华虹NEC电子有限公司

上海先进半导体制造股份有限公司

上海宏力半导体制造有限公司

上海贝岭股份有限公司

杭州士兰集成电路有限公司

中纬积体电路(宁波)有限公司

首钢日电电子有限公司

安靠封装测试(上海)有限公司

星科金朋(上海)有限公司

威宇科技测试封装(上海)有限公司

上海松下半导体有限公司

上海凯虹电子有限公司

数字集成电路设计篇5

一、引言 

数字逻辑电路是计算机专业的一门基础课,其教学目标包括数字信号的概念,组合逻辑电路和时序逻辑电路,常用的数字集成芯片,数字电路设计流程及应用。传统的数字逻辑电路实践教学一般采用传统的验证性实验[1-2]。为了提高学生的学习兴趣和动手能力,基于数字模块的综合设计[3]将抽象的数字逻辑模块与具体应用联系起来,对学过的电路模块通过仿真实现出来。基于硬件描述语言的数字集成电路前端设计法[4-5]将硬件描述语言引入进来,用硬件语言行为描述法描述。这些实践教学方法取得了一定的成效,一定程度上提高了教学效果。但针对计算机专业的同学来说,更注重的是数字集成芯片将来的应用,特别是数字集成电路驱动的编写和应用,如何将数字逻辑电路驱动的编写嵌入到数字逻辑电路时间教学中,并通过时序控制使得数字集成芯片工作起来是数字逻辑电路教学的一个重要任务。 

本文提出以驱动编写为导向的数字逻辑电路实践教学,针对数字逻辑电路常用组合逻辑模块,如编码器和译码器模块、数据选择和分配器模块、串并并串转换等模块进行分析,通过微控制单元(MCU)对其时序进行控制,实现集成数字芯片的驱动;针对常用时序逻辑模块,如计数器模块,寄存器模块,通过MCU收发信号,实现相关集成数字模块的驱动。这种实践教学方式不仅提高了学生的学习兴趣,加深了对数字逻辑模块的理解,掌握相关硬件编程方法,而且对嵌入式开发过程有了一定的了解,为后续学习打下基础。教学结果表明以驱动为导向的实践教学较大程度的提高了数字逻辑电路的教学效果。 

二、以驱动编写为导向的数字集成电路实践教学的教学设计 

针对数字逻辑电路涉及的基本教学模块,根据数字逻辑电路教学的经验,在教学中设计了三个部分的实践教学:针对组合逻辑电路部分的实践,针对时序逻辑电路部分的实践安排和综合实践教学安排。 

针对组合逻辑电路涉及的数字集成电路芯片,设计了以下相关模块:集成双全加器74LS183/C661的连线及驱动、4位集成数值比较器74LS85/C663的连线及驱动、8线-3线优先编码器74LS148、集成3-8译码器74LS138、电平驱动显示译码器74LS48、集成4选1选择器74LS151、1路4路数据分配器74LS139。 

针对时序逻辑电路涉及的数字集成电路芯片,设计了以下相关模块:集成4位二进制同步加法计数器74161的连线方式及驱动实现、集成十进制同步加法计数器74160、8位单向集成移位寄存器74164、4位双向移位寄存器74LS194的连线及驱动实现、串并转换芯片74HC595和并串转换芯片74HC165的驱动的实现。 

综合实践安排包括常用组合和时序逻辑模块组成的综合系统,本部分安排了两个小系统的实现:数字时钟的设计和实现和六路抢答器的设计和实现。 

数字时钟利用基本数字电路制作小时电子钟,显示时分秒,其电路包括24进制计数器,60进制计数器,译码电路和显示电路模块。 

六路抢答器利用数字电路设计抢答器,它允许8路参加,用LED小灯显示抢答结果,利用清除键对LED小灯灭灯。此电路包括门控电路、开关控制电路、数据锁存电路、8-3优先编码模块,案件输入模块、显示模块。 

本实践教学穿插在理论课上和课后进行,在学习每部分数字模块基础上,对相关模块的应用环境和作用作以介绍,给出相应的原理图及时序控制原理介绍。这部分的教学要求学生进行预习,在时间教学课堂前,以报告形式完成硬件电路设计及相关关键代码设计,循序渐进,在实践教学时进行调试,并利用互动教学来验证、巩固教学内容。 

三、数字集成电路芯片驱动编写--以串并转换集成芯片74HC595为例 

数字集成电路芯片驱动编写的实质即对该芯片工作时序的控制。本小节为串并74HC595并串集成芯片为例,说明集成电路芯片驱动的编写方法。 

74HC595是将串行信号转成并行数据信号的数字集成芯片,为了实现串并转换,它具有一个串行输入口,通过内部8位移位寄存器经过8个时钟周期将8个1位的串行信号转换成一个8位的并行信号,通过其内部8位缓冲寄存器及一个具有三态输出的移位寄存器将并行数据送出。为了让74HC595实现串并转换,必须根据其工作时序给出正确的控制信号。其工作时序图在图1中给出,该结构串行数据的输入和并行数据的输出分别用不同的时钟控制,分别为SCK和LCK,[Q0→Q7]并行输出端,[Q7']串行数据输出。 

如时序图所示,其基本时序运行如下:在输入时钟SCK的上升沿控制下,8位串行数据从SDI送入,8个周期后,该8位数据被送入到74HC595的缓冲区;接着在输出时钟LCK的控制下,将8位并行数据从输出端Q0-Q7送出。并行数据输出在时钟信号LCK控制下,一个时钟上升沿便可完成输出。8位串行数据num1转成并行数据的关键时序代码为:for (j=0;j<8;j++) {CY=num1&0x01;num1=num1>>1;ds=CY;SCK=1; SCK=0; } 

四、小结 

本文提出以驱动编写为导向的数字电路的实践教学方法,并应用到数字电路实践教学中,学生反映较好。以驱动编写为导向进行数字电路的实践教学将抽象概念具体化,使学生认识到数字模块的作用和其应用场合,并利用proteus仿真软件进行仿真,增加了学生的学生兴趣。通过综合系统的实现,提高了学生的动手能力,并提高了学生利用C语言进行硬件编程的编程能力,为后续相关硬件课程的学习及从事数字集成电路的工作打下基础。 

参考文献 

[1]王尔中,庞涛,李鹏,郑丹,Multisim和Proteus仿真在数字电路课程教学中的应用,实验技术与管理,30(3):78-81.2013. 

[2]唐凯,吴伟力,集成电路设计课程实验内容的探索,集美大学学报, 2013,14(3):121-124. 

[4]陈海进,硬件描述语言与数字集成电路课程教学,2003,2(3):60-62. 

数字集成电路设计篇6

有人认为线传播延时大于数字信号上升时间的一半才能称得上高速数字电路,这是根据信号沿变化的速度来定义的。在高速数字电路的设计中需要从以下几个方面来把握,防止产生各种的问题。

首先要对数字电路的噪讯干扰进行处理。因为在数字电路中我们会经常采用布尔代数的数学方法,用来描述事件之间相互的逻辑关系。和一般普通代数层面中的变量不一样,逻辑变量则是用来描述逻辑关系中的二值变量,即用1和0这两个值来表示对立的逻辑状态。数字电路依照0和1的稳定情况来作为运算基础,所以这其中就会存在噪讯界限。相对于模拟电路而言,数字电路有着非常强大的噪讯。数字电路中,数字信号因为与电流变化中磁数变化的诱导电压的影响,电流变化就会在某个地方形成了噪讯的产生地,这又与电路长度、回路的面积息息相关。数字信号转变时会带来过渡性的电路,进而带动导体产生噪讯电压,再加上噪讯电流的流动会容易造成数字电路的误动作。电路的阻抗越高受到外部噪讯干扰就越容易,对抗噪讯的干扰除了控制噪讯电压以外,还应该加大结合阻抗,同时减少输入阻抗。数字IC中如果空端子表现出open的状态就会使阻抗变高,这进而又会导致数字电路极容易受到噪讯的误动作干扰。所以,数字IC的空端子需要连接电阻与电源。多层板信号线的阻抗,因为导线系设在背景的表面上,所以也可以减低阻抗的效果。

其次,要注意把握数字技术与模拟技术的融合。因为LSI和IC本身的高速化,为了能够使机器能够同时达到正常运行的目标,所以这就难免会使得技术的竞争越来越激烈。尽管系统构成的电路不一定有clock的设计,但是毋庸置疑的是系统是否可靠必须要考量到选用电子组件、封装技术等综合因素上。数字或模拟电路的极其小型化、多功能化、高速化会使得小功率信号与大功率信号、低输出阻抗与高输出阻抗、小电流与大电流等问题常常会在同一个密封密度的电路板中出现,设计人员置身于这样的环境就将面对如此高难度和富有设计思维的挑战。

最后,数字集成电路的选择上也需要注意。基本门电路是由简单的分离元件构成,虽然设计起来比较容易简单,但是运行和反映的速度很多时候相对较慢,负载承受的能力也较差,电气的性能也有待进一步提高。目前使用得最为广泛则是数字集成电路。其优点是:体积较分立元件设备小几百倍;抗干扰能力强;故障率和功耗率都很低,输出电阻低;输出特性好;稳定性强。数字集成电路中又以是CMOS和TTL系列电路这两种为主。CMOS系列器件的工作电压在3~18 V之间,TTL系列的工作电压是5 V,所以CMOS电路的工作范围相对较广,其噪声的容限也较大,所需要消耗的功率相对较低。尽管CMOS的电路输入端进行了保护电路的设置,但是因为限流电阻的尺寸有限和保护二极管,这就会难免使得其承受的脉冲功率和静电电压受到限制。CMOS电路在运输、组装和调试中因为不可避免的会接触到静电和高压的物件,所以要保护好输入的静电。此外,CMOS还会产生电路锁定效应,为了安全和方便的使用,人们一直在致力于从设计和制造上排除锁定效应的研究。因为,集成电路的要求都比较高,需要先进行芯片的设计和程序的编制,但是更多的时候在使用现成数字电路中进行了简单的分析,这是非常不够的。专用的集成电路是一种新型的逻辑器件,因为其具有灵活性和通用性的特点,所以成为了对数字系统进行设计和研制的首选器件。总的来说,数字电路在今后的发展中还有广阔的空间,但是其基础知识不会发生改变,如何进行进一步的改进,这就迫切需要新型的数字人才去发现并改进当中不大完善的地方,完善和弥补电路中的每一个缺点和不足,使得当中各个部分和环节都能发挥最大的作用。

数字集成电路设计篇7

中图分类号:G642.0?摇 文献标志码:A 文章编号:1674-9324(2014)06-0165-02

一、概述

数字技术是近几十年发展最快的技术,其发展对人类社会产生着深远的影响。作为数字技术硬件基础的数字电路遵循摩尔定律,在几十年中经历了从分立电路到集成电路的设计历程,到现在已进入片上网络(Network on Chip,NoC)的阶段。从数字电路的晶体管电路时代,历经中小规模集成电路设计时代,到现在广泛采用EDA工具进行ASIC设计以及基于FPGA进行设计的时代,电路设计的每一步发展过程都产生过很多重要的设计思想及设计方法。这些设计思想及方法的累积构成了现在的数字电路教学体系。然而,由于新旧体系高速更迭,使得目前的数字电路教学体系呈现一种拼接的模式,整体内容缺少因果链接,电路的逻辑设计、功能设计和性能设计三方面脱节。这种现状与当前数字技术领域对人才的要求极不适应。要对现状有所改革,首先需要对数字电路各部分内容有所了解,从中提取适应发展的部分,重新构成一个自洽的课程内容体系。本文希望通过对现有课程中不同部分内容进行分析,在此方面进行一些尝试。

二、基于晶体管的设计

目前,数字集成电路采用的主要工艺是CMOS工艺,在这种工艺条件下,电路逻辑结构由MOS晶体管担任开关作用来实现。MOS晶体管分为PMOS和NMOS两种形式,分别用于传导高电平(1)和低电平(0),如图1所示。逻辑输入控制晶体管的栅极,连通的晶体管支路由电源或地为逻辑输出提供标准输出电平,如图2所示。在晶体管的相互连接中,NMOS的串联可以实现AND运算,并联实现OR运算,由此可以形成各种基本的逻辑单元,如图3所示,这些逻辑单元的进一步连接可以形成各种功能电路。

在目前国内外教材的分析中,对此类电子电路的评价主要集中于晶体管数量。如何在设计中减少晶体管的使用量成为设计的主要目标。基于这一考虑,在基本单元层次,发展了AOI电路结构,将“与-或”二级结构形成一个整体,晶体管数量只与初级与门输入的数量相关。在功能设计的层次,引入卡诺图对逻辑方程进行最小化,其目标也是通过减少初级门输入端的数量来实现晶体管数量的减少。上述设计方法能够非常准确地表达数字电路的逻辑体系实现,并能建立组合逻辑的卡诺图分析设计方法和时序逻辑的转移输出表的分析设计方法,为数字电路的规范化设计体系奠定了很好的基础,也构成了目前数字电路设计的理论基础。但在目前的教学体系中,这种设计方法只是将晶体管作为标准开关器件使用。由于缺少有效的评价体系,目前逻辑分析仅停留在简单电路的分析设计,在中规模功能电路的分析设计中,几乎没有采用这一体系。在VLSI的设计时代,对电路性能的评价主要表现为集成度(占用芯片面积、成本)、速度(最长延迟时间、最高时钟频率)和功耗(最大功耗、平均功耗)等指标上。要实现同样的功能,利用逻辑定理可以设计出很多不同结构的电路,最优化成为设计中的中心环节。而要实现这一目标,在基本逻辑结构形成的阶段就需要补充对于相关性能的描述模型。

三、基于中小规模集成块的设计

在上世纪70~80年代,为了应对数字技术的广泛采用,发展了以74系列为代表的各种中小规模集成块。不同领域的用户可以选用尽可能少的通用集成块连接形成电路,满足自己的特殊系统需求。为了使用上的方便,中小规模集成块在外型和I/O端口性能方面都进行了统一标准设计,其输入/输出特性由Data sheet详细规定,用户在使用时可以不忽略其内部电路工艺及逻辑形成方式,只根据设计要求选取对应功能块,根据端口特性设计外部负载连接电路。考虑到通用模块可能需要对模拟器件进行驱动,此类电路通常都配备了强大的对外驱动电路,导致集成芯片中主要部分为I/O部件,逻辑功能部分只占据了集成芯片的次要部分。为了增加模块的通用性,通常会在基本功能的基础上添加许多额外的控制/状态端口(与集成块的总体成本相比,这些添加几乎不增加成本,但能够带来市场上的好处)。由于电路的成本、速度、功耗主要由I/O部件及外壳决定,简单逻辑与复杂功能的模块在价格和速度上相差不大,用户倾向于选用复杂功能模块来构成电路(使用模块的部分功能),而不是选用基本逻辑部件构成电路,电路设计的主要目标成为选择最少逻辑块及最少连线进行设计,与逻辑设计基本脱离关系。在目前的教学体系中,关于逻辑单元静态与动态特性的讨论基本采用这种方式讲解;各种组合功能电路的设计和时序功能电路的设计(二进制计数器、移位寄存器等)都采用此类方式。由于目前的实验条件,以及学生创新活动中自己设计小系统的需要,中小规模集成块仍然具有重要的使用价值,相关内容也就构成了数字电路课程教学中功能设计的主体部分。然而,中小规模集成块作为一种集成度低下的分立设计,其高成本和低速度是其不可避免的缺陷。如何将相应内容与低层逻辑设计合理地结合,将电路性能的评价带入到对不同结构设计的选择上,是解决这一问题的关键。在ASIC设计中,不会无谓地设计不需要用到的所谓多功能扩展,对功能模块的教学改革应该首先着眼于基本功能的最佳实现方式,然后考虑在不同应用中的最佳扩展设计方式。目前基于多功能器件进行设计,利用其部分电路的设计方式对中小规模集成块是优化的方式,但对于片上设计就是一种浪费的设计了。

四、基于HDL的设计

随着计算机技术的广泛采用,数字集成电路的设计也进入EDA时代。HDL使电路的设计描述和仿真验证可以利用计算机工具进行,方便于层次化设计中信息的交流、保存、修改,有效提高了设计效率,降低了设计成本。同时,基于FPDA的设计也成为中间设计的主流方式。为了适应这种发展,现行数字电路课程中开始引入HDL语言的内容,并对各种功能电路的描述编程进行了足够详细的介绍。同时也对FPGA的基本结构进行了介绍。利用这些内容,学生能够方便地使用计算机系统开展各类数字设计,扩大了数字电路的应用教学,通过对设计的仿真也能够更好地理解电路性能与设计的关系,使学生对数字电路设计有更实际的理解,也便于开展课程设计和各种实验活动。HDL是一种硬件电路的描述工具,主要帮助仿真过程的自动进行。而目前关于HDL的教学中,很少将电路逻辑与性能的关系反映到语言描述中,使语言的描述沦为对电路功能的描述,失去了EDA工具的使用本意。对电路性能描述中比较容易的是对延迟时间(或时钟频率限制)的描述。若要进行这方面的描述,HDL必须基于最基本的逻辑单元,设计者应对各种基本部件的时间延迟以及连线负载带来的时间延迟有足够的了解。而电路的功能设计描述则必须基于这种带时间延迟的部件互连设计(结构设计的描述)。此点在目前的HDL的教学中应特别强调。同时需要注意到,这种仿真一定要在与综合无关的工具上进行。对设计集成度的衡量取决于电路设计的综合方式。目前,在EDA设计领域尚未建立一种统一的综合方式,不同的综合工具采用不同的算法结构,综合效率各有不同。虽然综合算法本质上是基于基本逻辑优化理论建立的,但其中涉及的各种数学理论很多,不是数字电路这门课程能够解决的。因此,本课程无法涉足综合领域,也难以将课程内容与综合工具得到的结果形成对应关系。如何将基本理论与综合算法联系起来,形成一个统一的系统,应该是数字电路课程未来一段时间的改革目标。目前,很多的免费EDA工具采用FPGA作为综合的基础,这种综合工具的优点是能够方便地得到所设计电路的评价(占用单元数量、延迟时间、时钟频率)。然而,由于FPGA设计的基础是4输入查找表(等价于4输入卡诺图的最小项和设计),在基本逻辑层次上可以认为未进行任何化简,集成度低、延迟时间长。同时综合工具会根据4输入查找表建立优化算法进行综合,由此将用户进行的结构设计思想抹杀,不利于课程内容的相互衔接。如果要理解其综合结构,就必须首先建立FPGA基本单元和布线方式的电路参数模型,然后在此基础上建立独特的综合算法。目前,本课程难以完成这一任务。

五、统一体系的思考

基于上述分析,可以看到目前数字电路面临的困境,也展现了建立一个统一体系的需求。统一体系应该以电路性能参数(集成度、速度等)作为评价模型,着重考虑ASIC和VLSI设计中的需求。评价模型应该由底层基本器件(晶体管)开始分析建立,继承现有体系中关于逻辑设计的思想,将性能评价延伸到逻辑模块和功能模块层次;逻辑层次的设计中,主要展现功能的不同结构实现方式,为电路设计提供灵活性;而在功能层次的设计中,则通过对不同结构的性能进行比较,确定电路的最佳形成方式。HDL的设计应该将速度的评价融入到电路结构的描述中,并通过仿真工具的应用使这一评价能够推广到大系统中,对同步时序设计提供支持。

参考文献:

[1]姜书艳,罗刚,吕小龙,邓罡,周启忠.片上网络互连串扰故障模型的研究及改进[J].电子测量技术,2012,35(4):123-127.

[2]姜书艳,罗刚,吕小龙,金卫,谢暄.90nm和65nm工艺下片上网络互连串扰故障模型分析[J].电子测量与仪器学报,2012,26(3):267-272.

[3]艾明晶.基于自动设计方法的数字逻辑课程改革研究与实践[J].实验技术与管理,2012,29(9):151-155.

[4]张苹珍,王俊峰,仲涛.VHDL在数字逻辑电路设计中的应用方法[J].信息通信,2012,(5):96-97.

[5]张丽杰,吕少中.QuartusⅡ软件在数字逻辑电路教学中的应用[J].软件导刊,2012,11(4):199-200.

[6]曹维,徐东风,孙凌洁.基于CDIO理念的数字逻辑实践教学探索[J].计算机教育,2012,(12):75-77.

数字集成电路设计篇8

中图分类号:TN79-4 文献标识码:A 文章编号:1007-9416(2012)09-0121-02

数字电路是电子信息类专业的一门学科基础课程,通过本课程的学习,同学们能够了解数字电子技术的基本概念、数字逻辑电路分析和设计方法,掌握常用集成电路芯片的使用,实现简单数字应用电路设计,为后续有关专业课程学习和解决工程实践中遇到的数字逻辑问题打下良好的基础,培养具有一定创新能力的应用型人才。

数字电路是现代电子系统的必要组成部分,从一般的数字逻辑电路、微处理器控制电路、到复杂的信号处理系统,无不留下数字电路的身影,因此掌握数字电路分析、设计方法和测试方法是电子信息类专业的基本要求。

1、对数字概念的建立是该门课程的重要基础。

数字电路是真正接触数字逻辑、数字概念的第一门课,这部分概念的掌握与否,直接影响到后续课程的学习,比如:微机原理、单片机原理、数字信号处理和EDA等。

(1)逻辑量概念和逻辑运算是数字电路的基础,逻辑量是用来表示事件是否发生的物理量,在具体电路实现上用高低电平来表示逻辑量0和1。逻辑关系表示了事件之间的因果关系,在具体电路方面用各种门电路来实现。

(2)编码方法、二进制概念、算术运算是数字逻辑的具体应用。用多位有序逻辑量排列来表示不同的符号和不同的数就形成了编码,其中二进制是表示数的一种常用方法,这时的0和1也变成了数,但是其运算电路实现仍然是用逻辑电路来实现的。

比如一位全加器就是一个典型的二进制运算器,其运算规则是按照二进制运算进行的,每个变量的值,代表真实的二进制数0和1,但是其实现电路有时按照逻辑电路来实现的。

假设一位全加器的输入信号两个加数分别为Ai,Bi与低位进位Ci-1,输出信号分别为和Si与进位Ci,则得到真值表如下。

由上述逻辑表达式就可以得到一位二进制全加法器,如果有多个这样的二进制全加器就可以实现多位二进制加法器,实现加法运算。

2、组合逻辑电路和时序逻辑电路的分析和设计是数字电路教学的主要内容

组合逻辑电路的分析和设计主要包括各种门电路和一些常用组合逻辑电路,这部分内容是逻辑运算关系的具体实现,也是一些常用小规模集成电路原理理解和应用的具体实现,特别是译码器74LS138和数据选择器74LS151的理解和应用。

时序逻辑电路的分析和设计主要包括触发器原理介绍、由触发器构成的时序电路和中小规模集成电路的应用,这部分内容是数字电路教学的主要内容,特别是用时序电路来解决具体应用问题时,如何把具体问题转换成电路设计问题时一大难点。其中两个重要的集成电路模块是移位寄存器74LS194和异步复位十六进制计数器74LS161。

组合逻辑电路和时序逻辑电路是按照电路中有无触发器来区分的两种电路形式,实际时序逻辑电路中往往肯定包含组合电路,按照一定的分析和设计思路,就可以顺利完成电路的分析和设计。

图一是用译码器和数据选择器分别实现全加器的电路图,我们在输入端用拨动开关来表述不同的输入信号,在输出端用发光二级管来表示输出结果,这样非常直观,利于同学们的理解。

(b)用74LS151数据选择器实现

图1 全加器实现与演示

3、积极改进教学内容,注重应用技能的培养

数字电子技术的发展、电子设计手段的进步已经发生了巨大的变化,但是我们教材的主要内容和20多年前没有大的变化,强调数字技术的基础性,在门电路、集成电路方面花了很多的篇章,这也是现在同学们学习时比较难掌握的部分,但是这一部分也是绝大部分同学今后很少用到的部分。另外一方面,现代设计所需要的CPLD、FPGA知识和HDL语言没有介绍或介绍不够。因此,我们在教学中,弱化门电路和集成电路的教学,强调集成电路的功能和接口条件,在介绍集成电路芯片的同时,介绍其Verilog HDL描述。这样对照硬件和软件进行学习,相互印证,能够得到比较好的效果。这种学习方法,可以适应硬件设计的软件化设计趋势。

4、积极改进理论和实验教学方法,加强动手能力的培养

在数字电路教学中多讲解各种实用电路的设计和实验,可以提高课程教学的效果,帮助同学们理解数字电路理论教学内容,增强同学们感官认识和动手能力。现在数字电路实验特别是多个集成电路芯片的实验因为接线问题,常常影响同学们的实验效果,甚至得不到所需要的结论。另外硬件实验要花费较多的时间资源和硬件资源,并且以后的工作需要更多的是软件仿真工作,因此仿真工作是很多设计过程中不可或缺的一个重要环节。因此在教学过程中我们要求学生掌握Multisim仿真软件。通过老师演示,学生自己仿真,花时间少,可以充分发挥自己的想象。

Mutilsim软件具有非常强大的功能,不仅可以满足数字电路的仿真还可以满足模拟电路的仿真要求,系统提供了大量的信号源和测试设备,使系统的运行看起来非常逼真。系统还可以实现硬件描述语言编程的仿真,还可以进行CPU软件编程程序的仿真,因此建议同学们掌握Mutilsim软件的使用。(如图2)

图2是60进制计数器的电路,图中不仅包含由两个74LS161组成的60进制计数器,还包含了两个数码管驱动电路和两个7段数码管。这样通过仿真软件实现具有下列优点:

(1)可以方便地修改60进制计数器的各种设计方法,只需简单修改就可以实现同步计数电路、异步计数电路、同步置零、异步清零等计数器控制策略;

(2)可以方便地实现其他进制的计数器,如果采用74LS160电路可以更简单;

(3)进一步理解数码管驱动电路的原理和使用方法。

(4)进一步理解数码管的模块的连接方法。

本文针对数字电路课程教学中的数字电路概念、教学内容和教学方法等问题做了比较具体的分析,并用具体实例进行了说明。

参考文献

[1]谢剑斌,李沛秦等.在“数字电子技术”教学中培养学生创新能力.电气电子教学学报,Vol.32,No.6,2010.12.

[2]张振亚.数字电路教改探讨.西南民族大学学报·自然科学版第37卷5,2011.5.

数字集成电路设计篇9

中图分类号: G642文献标识码:A

引言

数字电路是计算机专业电子技术方面入门性质的基础课程,其任务是使学生获得数字电子技术方面的基础理论、

基本知识和基本技能。通常,数字电路课程的教学是由理论教学、课程实验、课程设计等教学环节构成的。

可编程逻辑器件PLD(Programmable Logic Device)是一种数字电路,它可以由用户来进行编程和配置,利用它可以解决不同的逻辑设计问题。随着数字集成电路的不断更新和换代,特别是可编程逻辑器件的出现,使得传统的数字系统设计方法发生了根本的改变。可编程逻辑器件的灵活性使得硬件系统设计师在实验室里用一台计算机、一套相应的EDA软件和可编程逻辑芯片就可以完成数字系统设计与生产。

MAX+plus Ⅱ是一种与结构无关的全集成化设计环境,使设计者能对Altera 的各种CPLD系列方便地进行设计输入、快速处理和器件编程。MAX+plusⅡ开发系统具有强大的处理能力和高度的灵活性. 其主要优点:与结构无关、多平台、丰富的设计库、开放的界面、全集成化、支持多种硬件描述语言(HDL)等。

1可编程思想在课堂理论教学中的应用

数字电路课程主要包括逻辑代数、基本门电路、组合和时序逻辑电路、可编程逻辑器件及其编程方法的介绍。传统的教学方法是按顺序讲授,组合和时序逻辑部分都采用独立元器件的思想介绍,可编程逻辑器件部分往往由于课时的缘故不讲授或者只做简要介绍。但是随着电子技术的发展,这种教学思路已经不能很好地体现数字技术的发展方向。所以对数字电路理论教学提出了一些改革思路。

逻辑代数和基本门电路部分还是采用传统的教学方法,在讲授这一部分的同时把可编程逻辑器件及其编程方法这部分内容作为课外作业布置给学生,介绍一些相关的资料供学生课外阅读,并随时检查辅导。在介绍电路设计的时候把可编程逻辑器件部分引入,并作简要介绍,关键是让学生理解可编程思想,知道整个设计的过程即可,不能花大量时间讲述编程语法。如果花过多时间介绍语法,会让学生转移学习的重心,忽略数字电路的基础知识,把数字电路当编程语言课程学习。

随着计算机的广泛应用和教学条件的改善,多媒体课件在一定程度上提高了教学效果,可以用Flash等软件制作电路的应用和工作情况,但因为工作情况非常多,所以制作课件非常麻烦,而且因为不能随时调整,学生感觉不够真实。在课堂教学过程中利用多媒体教学环境,采用MAX + plus II软件进行实际操作演示,MAX + plus II输入修改方便,仿真结果直观具体,能够增强学生对学习内容的感性认识,激发学习兴趣,提高课堂教学质量。

以2-4译码器部分为例来说明MAX+plus II在数字电路教学中的应用情况。对于译码器的定义及其译码的理解,其实刚好是硬件描述语言VHDL的行为描述方法,所以我们可以用VHDL语言把2-4译码器描述出来作为MAX+plusⅡ的输入。描述的程序如下:

entity HCT139 is

port(A2, B2, G2BAR, A1, B1, G1BAR : in std_logic;

Y20, Y21, Y22, Y23, Y10, Y11, Y12, Y13 : out std_logic);

end HCT139;

architecture VER1 of HCT139 is

begin

Y10

Y11

Y12

Y13

Y20

Y21

Y22

Y23

end VER1

写程序的过程其实就是对译码器的逻辑的理解过程,那这个程序到底能否完成我们需要的译码功能呢?可以用 MAX+plus II软件的仿真功能,让学生很清楚地观察到在控制信号的作用下译码器的实际工作情况。仿真波形如图1所示。

随着数字电子技术的发展以及可编程逻辑器件的广泛使用,中规模的集成电路的应用逐渐减少,但是对于中规模集成电路的思想以及功能还是要做介绍。对于中规模集成电路的讲授可以结合MAX + plus II的原理图输入方法。MAX + plus II的元件库里有常见的中规模集成电路,并且我们可以利用MAX + plus II软件的仿真功能,观察到各种中规模集成电路的工作情况。例如2-4译码器74139如图2所示。

课堂教学中,很多内容都可以利用MAX + plus II软件直观地演示出来,比如中规模集成电路的功能和使用、异步二进制计数器的工作、移位寄存器的移位操作等等,这些演示可以使学生感性地理解电路的特性,对理解这些知识起到了很好的辅助作用。如果教师对EDA技术熟悉,演示这些实例并不需要花费太多时间。

2基于可编程逻辑器件的实验教学

实验作为学习该课程的一个重要环节,对巩固课堂教学内容、提高学生的动手能力都具有重要的作用。

在传统的数字电路实验教学中,一般采用学生动手搭接各种电路的方法。这种方法对于锻炼学生的动手能力比较好,实际搭接线路体会更深刻,但是这种方法也有很多不足之处:由于在实验操作过程中的疏忽,很容易造成电路短路、损坏TTL芯片。教学实验中,要经常更换芯片,增加了原件的损耗;当电路复杂时,检查芯片好坏或搭接线错误是一项非常繁杂的工作,容易让学生产生厌烦感。随着计算机电路仿真及大规模集成电路的发展,传统的实验方法得到了改进,引入可编程逻辑器件及其对应的EDA技术,可以增强实验过程的灵活性,提高学生学习积极性。

基于可编程逻辑器件的实验平台在参考文献[3]做了介绍。继续选用在理论教学中采用的演示软件MAX + plus II作为实验软件,它使用简单,不需要花费太多学时讲授软件的使用。因为原理图的输入方法更简单直观,建议采用原理图的输入方法进行实验。在学生掌握原理图输入方法之前,老师可以先做好一些实验模块,让学生直接下载这些模块到实验平台进行测试,边测试边学习建立实验模块的方法。用MAX + plus II仿真数字电路实验具有很大的灵活性,掌握得比较好的学生不仅可以按照教师设计的实验模块完成实验,还可以在掌握了设计方法后,利用理论知识自行设计一些实验模块。这样会激发学生的学习兴趣,从而进一步提高了学生的综合素质和创新能力。

3基于可编程逻辑器件的课程设计

数字电子技术课程设计是实践性课程,同“数字电子技术”理论讲授课程有密不可分的关系,起着相辅相成的作用,也是在“数字电子技术实验”课的基础上,进一步深化的实践环节。其主要目的是通过本课程,培养和启发学生的创造性思维,进一步理解数字系统的概念,掌握小型数字系统的设计方法,掌握查阅有关资料的技能。基本任务是设计一个小型数字电子系统。传统的使用中小规模集成电路的方法,由于设计小型数字电子系统需要多种元件,每年的课程设计往往受元器件的限制,给的设计题目只有几个,容易出现雷同,常见的一些设计在网络上都可以找到现成的设计电路图。

将可编程逻辑器件引入课程设计,就可以灵活给定各种类型的设计题目,不受元器件的限制。即使一样的设计题目,实现的方法也可以多样化,可以采用原理图的输入方法,也可以采用硬件描述语言的输入方法来实现。同时将可编程逻辑器件引入课程设计,就可以直接在实验平台上实现,不需要专门的课程设计平台,从而节省了课程设计的成本,又由于采用了数字电子技术发展的前沿技术,让学生能了解数字电子技术的发展,真正培养学生对数字电子技术的学习兴趣。课程设计的题目难度也容易控制,可以分开档次。

例如“拔河游戏机”的设计,拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点就会向谁的方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线;显示器显示胜者的盘数。对于这样的一个设计题目安排三个人一组,来讨论总体实现思路,但是具体的实现方式不能一样,其中一个人要求用中小规模的集成电路来实现,两个人用可编程逻辑器件实现,但是分别采用硬件描述语言和原理图的输入方法来实现。

开始安排题目的时候,选择用中小规模集成电路实现的人自信比较足,因为学生对中规模集成器件相对熟悉些,其他两人因为对可编程器件不是很熟悉,觉得有点难。但是等完成这个设计题目后,叫苦的反而变成采用中小规模集成电路实现的人。另外两人对自己的设计思想是否正确早通过仿真知道了,连线也只有输入和输出,不用反复检查线路是否接触好。随时可以修改,修改完了只需用重新下载程序即可。经过这样的对比实践,学生对数字电路的设计有了更深刻的认识,既掌握了数字电路的传统设计方法,又初步理解了最新的数字电路设计方法。

4结语

CPLD和FPGA器件在集成度、功能和性能方面已经能够满足大多数场合的使用要求。用CPLD、FPGA等大规模可编程逻辑器件取代传统的标准集成电路、接口电路和专用集成电路已成为技术发展的必然趋势。把可编程思想引入到数字电路课程的教学当中,利用计算机和仿真软件对数字电路进行模拟、仿真,会显著地提升数字电路的教学效果,让学生能够紧跟市场和技术的前沿。

Teaching of Digital Circuit Based on Programmable Thinking of Hardware

LIU Cai-hong, JI Jin-shui

数字集成电路设计篇10

1.EDA技术[1]

EDA技术即电子设计自动化技术,英文全称Electronic Design Automation,它是以功能强大的计算机为工具,在EDA软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译、简化、分割、综合、布局布线及逻辑优化、仿真测试的电子产品自动化设计过程。

利用EDA技术进行电子系统的设计,具有以下几个特点:

(1)用软件的方式设计硬件,且用软件的方式设计的系统到硬件系统的转换是由相关的开发软件自动完成的;

(2)设计过程可用相关软件进行各种仿真;

(3)系统可现场编程,在线升级;

(4)整个系统可以集成在一个芯片上,具有体积小、功耗低及可靠性高的特点。

2.用EDA技术改进数字电路课程设计的必要性

数字电路课程设计是建立在数字电子技术基础上的一门综合实践性课程[2],有利于培养学生的系统综合能力和创新能力,对提高办学档次,满足社会对高素质人才的需求,培养学生对未来社会的适应能力都是受益匪浅的。通过这一课程的学习,学生能够熟练地利用EDA技术掌握较复杂数字系统的设计方法,进一步增强学生分析问题、解决问题的能力,充分挖崛和激发学生的创新潜能。

目前在数字电路实践教学中,大部分学校仍然采用中小规模的集成电路来实现设计功能,当设计的系统比较复杂,需要多个集成芯片和大量连线时,就增加了设计电路板的难度和故障调试难度,延长了设计周期,降低了学生的学习兴趣;同时,常用中小规模集成芯片的大量重复使用也大大增加了设计成本;因此,在数字电路课程设计中引入EDA技术,采用当前国际先进的设计方法和理念,改革传统的课程设计方法,已经成为一种趋势[3]。用中小规模集成电路设计的数字系统存在以上诸多缺点,而运用EDA技术、可编程逻辑器件设计数字系统就成为行之有效的方法。这种设计方法从系统总体要求出发,自上而下地将设计细化,将功能具体化、模块化;直到最低层的模块适合用硬件描述语言或原理图描述为止,最后形成数字系统的顶层文件;再经EDA软件的自动处理而完成设计。

QuartusII是Altera公司的第四代EDA开发软件,此软件提供了一种与结构无关的全集成化环境,将设计、综合、布局和布线、系统的验证都整合到一个无缝的环境中,使设计者能方便地对Altera公司的PLD系列产品进行设计输入、快速处理和器件编程。是应用广泛的EDA开发软件之一。CPLD/FPGA通称为可编程逻辑器件,其中FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程逻辑器件的基础上进一步发展的产物。目前,QuartusII开发软件和CPLD/FPGA器件作为EDA开发工具被越来越广泛的应用到大型数字系统的设计中。

3. EDA技术在数字电路课程设计中的应用

多功能数字电子钟的设计是数字电路设计中的一个典型应用,用中小规模集成电路实现时,用到的器件较多,连线比较复杂,可靠性差。下面就以基于ALTERA公司的FPGA器件CycloneII240C8芯片和QuartusII9.0EDA开发系统进行多功能数字钟的设计为例来介绍数字电路系统的一般设计方法。运用此种方法进行课程设计时,需要先掌握QuartusII软件开发环境的使用和硬件描述语言VHDL语言的编程,掌握相关CPLD/FPGA实验开发系统的使用。

(一)数字钟的设计要求

(1)具有时,分,秒计数显示功能,以24小时循环计时,由6个7段共阴极数码管显示;

(2)能够通过手动按键实现清零和调节小时、分钟功能;

(3)具有整点报时功能,当时钟计数为59’51”、59’53”、59’55”、59’57”时,扬声器发出频率为1024Hz的声音,在59’59”即到整点时,扬声器发出最后一声整点报时,频率为4096Hz。

(4)用VHDL语言来完成上述电路功能的软件设计和软件仿真,仿真结果正确后,在实验系统上进行由硬件电路的下载和调试。

(二)数字钟的设计方案

多功能数字钟电路的系统结构框图如图1所示,由系统时钟、控制电路、秒计数器、分计数器、小时计数器、译码器、显示器和扬声器组成;控制电路负责控制计数器计时、校时和扬声器报时,译码器将各计数器输出的BCD码计数值转换成七段码送到显示器,显示器显示时、分、秒计时结果。

介于所使用的实验系统中有现成的译码器和显示器部分硬件电路,故只对图1所示控制电路和时、分、秒计数器模块进行软件设计,由VHDL语言编写源代码来实现。

(三)数字钟的实现

在设计过程中采用层次化设计方法进行设计,编写源程序,为了简化设计把控制计时和调时部分功能放到计数模块中,报时部分专门用一个模块,故将数字钟的实现分成秒、分、时三个计数模块和一个报时模块构成,报时模块同时完成对报时输入信号的分频。

通过系统分析论证后,在QuartusII9.0环境下,用VHDL硬件编程语言编写数字钟的报时模块、秒计数模块、分计数模块和时计数模块源代码,即分别对应alert.vhd、second.vhd、minute.vhd、hour.vhd文本文件,对这四个模块分别进行编译、综合和仿真测试无误后,生成这四个模块的符号图,最后通过原理图连接的方式把以上各模块生成的图形符号连在一起形成顶层的原理图,实现多功能的数字钟。下面给出通过原理图的形式所设计的顶层原理图如图2所示,顶层设计文件为clock.bdf,顶层实体图如图3所示,当然也可以通过元件例化语句来生成顶层实体。

(四)功能仿真与下载

以上各个模块设计好以后,都可以利用软件进行仿真,得到正确的功能仿真结果后,在顶层的设计中调用各功能模块,完成顶层原理图或实体的设计,最后针对顶层的实体再进行功能仿真,仿真结果如图4所示,从仿真结果的部分截图中可以得到该数字钟能够实现正常计时的功能。

仿真正确后,选定好所选用的实验系统的配置芯片,锁定引脚,完成引脚配置,重新进行编译综合后,即可生成下载文件clock.sof,将此文件下载到选定的目标芯片,接上器件,完成整个系统的设计。经过在杭州康芯电子有限公司生产的GW48EDA/SOPC实验开发系统下载验证,该设计完全符合数字钟的功能要求。

4.结束语

通过将EDA技术应用于数字电路课程设计提升了学生对数字电路的认识,在设计过程中可以预先进行仿真,仿真有误可以修改设计,在这个过程中不必搭接电路,做到有错就随时修改,不用担心设计实验失败的风险。通过EDA技术不仅可以很好地锻炼学生的综合设计开发能力和动手能力,从而激发他们的学习兴趣,还可以大大节约数字电路课程设计实验的成本,提高设计效率,培养了他们解决问题的综合能力,因此,使用EDA技术必将是数字电路实践课程改革的新动向。

参考文献

数字集成电路设计篇11

关键词:数字化;低压配电柜;智能电网

引言

现今社会已经进入了信息化时代,电力系统中的诸多方面已经融入了智能化的元素,这些设备通过先进的传感和测量技术、先进的设备技术和先进的控制方法实现了更加可靠、安全、高效的运行效果。配电柜的设计过程中融入“数字化”的元素也是非常必要的。

1 低压配电柜的一次侧设计

熔断器、接触器和断路器是低压成套装置的主要设备,除了以上三种主要设备外,还有一些传感器作为辅助设备。

1.1 低压配电柜的设备选择

目前,低压成套装置多采用分割或抽屉形式的回路单元,断路器多采用框架形式或塑壳形式。配电柜的主要设备依据配电容量或配电柜的用途进行组合,可以实现多种不同功能的低压成套设备。

1.2 低压配电柜一次侧的智能化设计

低压成套设备的数字化设计是要对成套设备的主要电器元件的参数实现数字化的信息采集,这些主要设备包括接触器、熔断器和断路器。其中断路器的主要参数包括电压、电流、电功率、断路器状态等。

为了达到智能化的目的,需要在断路器内加装互感器,用以采集断路器的电流,再通过程序编程实现对断路器其他参数的计算,并需要为断路器加装辅助触点用来实现对断路器位置和状态信息的采集。最终将主要设备的参数通过光钎送入交换机进行通信。

低压配电柜中的熔断器需要采集它的状态和流经熔断器的电流,数字化设备通过光纤将数据传送至交换机,智能电子设备通过GOOSE协议进行通信。

2低压配电柜二次侧的数字化

低压成套设备二次设备包括互感器、指针表和继电保护设备,在以往的上述设备都存在大体积、低精度测量和复杂的安装与配线、检修繁琐等缺点。

现今智能电网的发展迅速,为了配合智能电网的发展速度,数字化的低压成套二次设备均实现了集成化和数字化,实现互感器的A/D转换,提高了信息的精确度和检测速度。数字化的低压成套设备通过光纤将采集到的信息通过交换机送给智能测控电子设备,实现了由信息采集到最后测控的完整流程。

低压配电柜的二次侧数字化的实现虽然增加了信息采集的数量,但可以使配线的复杂性大大降低。设备只需要通过简单的跳线即可实现对多路数据的信息采集与控制。

3 IEC光纤交换机

IEC光纤交换机具有符合配电系统的技术要求,它的特点是:

(1)适应电网的电磁环境;

(2)设备具有模块化的结构可以任意组合;

(3)具有简洁的连接方式和多种不同的通信类型;

(4)具有通信和保护的可靠性、安全性,可避免数据篡改或伪造。

IEC光纤交换机最大的特点是可以实现当链接失效时发出报警,并可以实时检测光纤连接状态并重新选择路由,且可以优化数据配置,可以实现优先GOOSE对等通信。

4 GSM报警设备

数字化低压成套设备具有实时报警功能,它能够对设备参数、状态进行检测,对故障情况可以实时报警,其报警信号可通过GSM、GPRS设备实时传送出去。数字化的低压成套设备可以实时观测各配电柜的参数,将系统采集到的各数据存储到系统数据库中,并具有数据筛选功能,最终将需要的数据通过AT指令发送出去。

5低压配电柜数字化的集成

断路器的智能化、熔断器的智能化、接触器的智能化等数字开关设备组成了数字化的低压成套设备。设备中通过数字化的互感器等装置实现了数字信号的采集,将成套设备中的参数通过光纤传输到交换机现数据通信。在低压成套设备中还装有光纤交换机,可以实现配电柜与配电柜之间的。智能化的报警系统也融入到了数字化低压配电柜中,可以实时掌握配电柜的现状。

6 结语

数字化配电柜不仅技术先进功能齐全,为电网提供可靠、安全、高效的运行环境,且具有良好的经济性和前瞻性。加大数字化低压成套设备的使用量可以配合智能电网的建设步伐。

参考文献:

[1]叶丽萍.低压配电柜的开发与研究探讨[M].2009,7.

数字集成电路设计篇12

引言

近年来,随着计算机无线通信技术发展,中国2025高端制造规划和工业化4.0改革的推动,促进冶金行业自动化信息技术革新,因此工业现代化改造已成为现今研究热点。而现代化工业现场控制环节中,涵盖了大量工控信号,这类信号包括连续和离散的高低频信号,作为工业设备的外部激励控制信号,如仅靠人工手动连接实现控制已经不能满足现代化工业需求,尤其是钢铁冶金行业现场环境复杂,更不利于人工手动控制和现场布线。如何有效、灵活及可靠采集与传输这类工控信号是保障现代化工业高效、安全生产的前提。在已有的相关领域研究中,赵凤华等人设计开关量在液位测量中应用[1];黄宝娟等人设计开关量驱动电路对电机正反控制[2];李大伟等人提出带有自诊断电路的开关量系统[3]。而这些研究与设计都未考虑工业现场各种复杂环境对开关信号传输的影响,基于此,该文提出基于LORA无线通信可靠握手协议的数字开关量数据传输。

1数字开关量总体架构设计

该文数字开关量系统是通过采集工业设备中各种干接点信号,如限位开关、行程开关、各类按键、继电器输出、火灾烟雾报警器等,以实现对运行中设备的监控及操作。图1为系统总体设计框架图,分为开关量信号采集端和接收端两大部分。在采集端通过带有过压保护器的光电耦合电路获取工业设备中的开关量信号,信号经硬件去抖后由单片机读取到RAM中,同时,为方便监控所采集的数字量,通过OLED显示模块进行数字化显示,后经无线LORA发送至接收端;接收端通过无线LORA接收到采集端的数字开关量信号,通过继电器开关控制设备,并由网口将数据封装ModbusTCP协议传输到终控室进行实时监控。

2系统硬件及软件设计

2.1硬件设计部分

开关量检测电路是数字开关量系统硬件设计的关键部件,图2为数字开关量检测电路。F1为电压输入保险丝,反向保护电源模块,DIO为干结点采集端,R1,R2分别为分压电阻;设计中当输入节点DIO为高电平时,输入信号为1,当输入节点DIO为低电平时,输入信号为0;S1和S2为过压保护电路,当回路中瞬时电压超过设计输入3.3V电压时旁路到地线,达到保护电路作用;U1为光耦电路,考虑工业现场存在大量不确定电磁辐射串扰,设计时增加光电耦合,即将输入电信号通过光敏二极管转化成光信号,再由光敏三级管将光信号转换成电信号输入后级处理,实现节点输入与信号检测分离,从而抑制共模噪声干扰,R3为光耦集电极上拉电阻以提高其负载能力,DS1为信号指示;R4,C1构成低通滤波器,滤除高频脉冲干扰。为解决现场布线困难问题,提出采用无线LO-RA数据传输代替传统工业现场布线的方式。图3为无线LORA收发电路,采用SX1278无线电路模块,该电路以LORATM作为远程调制解调器,覆盖远距离扩频通信,其无线通信理论值可达10km,较之传统通信调制技术在抗阻塞和选择性方面有明显优势,更兼有抗干扰强、低功耗等特性。SX1278电路需要由外部提供32M晶振作为基准时钟,采用SPI总线,引脚16,17,18与MCU相连交换数据,DIO0~5为中断输出,用于数据完成与接收到数据中断,可编程控制,RFO_LF为射频输出,PA_BOOST选择大功率PA输出,VR_PA为PA稳压电源,RX-TX/RF_MOD为串口Rx/Tx控制开关。

2.2系统软件设计

系统软件设计开发平台采用32位的STM32103RB作为主控芯片,主频为72MHz,具有性能强、功耗低和实时性等特点。为了保证数据采集的实时性和安全性,使用开源的轻量级RTOS系统,该系统包括任务管理、资源管理、存储管理和系统管理基本管理函数库,嵌入式RTOS系统支持最多64个任务,其中56个为用户任务,系统保留4个优先级别最高和最低的任务。系统从优先级队列中高到低执行任务且每个任务都有独立的优先级。图4为系统软件设计流程。设计时加载RTOS系统,进行系统初始化,设计数字开关量读取和数据与命令字收发2个任务块,设置数字开关量读取为最高优先级,收发数据为次级优先级。对8路I/O口配置为输入模式,采集数字开关量过程为周期50ms定时轮询,当定时达到中断时复位中断标志位并获取I/O状态,判断I/O状态口是否发生改变,如是则进行数据发送。数据收发模块为LORA无线传输,该设计方案是针对钢铁企业现有设备分散、环境恶劣、综合布线复杂等开关数字量采集困难问题,同时为解决因电磁干扰等外界不可控因素导致无线数据传输中数据丢包实际问题提出采用可靠握手协议的无线LORA传输,将需要发送的开关量数据封装自定义协议,主要包括采集端ID、发送请求应答命令和CRC校验码,通过SX1278无线电路模块发送到接收,为满足实时性要求,应答请求在接收中断中获取,同时开始计数,当计数值超过预设值时判定为数据丢包开始重新发送;当接收中断获取采集终端返回接收应答请求后,则完成一次开关量数据传送。

3系统在工业控制现场应用